Index of /repos/ports/2024Q4/cad

 NameLast modifiedSizeDescription

 Parent Directory  -  
 openscad/2024-10-16 10:38 -  
 openscad-devel/2024-10-16 10:38 -  
 Clipper2/2024-10-13 18:10 -  
 Makefile2024-10-13 18:10 3.8K 
 kicad/2024-10-07 21:54 -  
 openroad/2024-10-07 15:16 -  
 kicad-devel/2024-10-07 15:16 -  
 gtkwave/2024-10-06 20:30 -  
 opencascade/2024-10-06 12:49 -  
 freecad/2024-10-05 22:36 -  
 py-amaranth/2024-10-05 04:09 -  
 libbgcode/2024-10-03 11:25 -  
 ifcopenshell/2024-10-03 11:25 -  
 csxcad/2024-10-03 11:25 -  
 PrusaSlicer/2024-10-03 11:24 -  
 qrouter/2024-10-03 10:17 -  
 z88/2024-10-01 19:56 -  
 tochnog/2024-10-01 19:56 -  
 spice/2024-10-01 19:56 -  
 oregano/2024-10-01 19:56 -  
 magic/2024-10-01 19:56 -  
 ldview/2024-10-01 19:56 -  
 electric/2024-10-01 19:56 -  
 calculix/2024-10-01 19:56 -  
 brlcad/2024-10-01 19:56 -  
 caneda/2024-10-01 07:03 -  
 veryl/2024-09-27 09:38 -  
 nvc/2024-09-26 09:27 -  
 opencascade740/2024-09-24 20:04 -  
 gerbv/2024-09-24 19:26 -  
 zcad/2024-09-18 07:28 -  
 svls/2024-09-10 12:00 -  
 svlint/2024-09-10 12:00 -  
 tkgate/2024-09-08 18:31 -  
 graywolf/2024-09-05 20:56 -  
 alliance/2024-09-05 10:48 -  
 symbiyosys/2024-09-05 09:48 -  
 cura/2024-09-04 22:01 -  
 cura-engine/2024-09-04 21:57 -  
 fdm_materials/2024-09-04 21:51 -  
 uranium/2024-09-04 21:46 -  
 yosys/2024-09-04 09:08 -  
 hs-verismith/2024-09-01 20:45 -  
 py-gdstk/2024-09-01 18:40 -  
 gdstk/2024-09-01 18:40 -  
 py-cocotb/2024-08-30 18:50 -  
 py-csxcad/2024-08-28 16:25 -  
 sumo/2024-08-28 07:54 -  
 verilator/2024-08-26 01:52 -  
 xyce/2024-08-23 22:41 -  
 kicad-library-symbols-devel/2024-08-23 20:02 -  
 kicad-library-packages3d-devel/2024-08-23 20:02 -  
 kicad-library-footprints-devel/2024-08-23 20:02 -  
 netgen-lvs/2024-08-19 20:11 -  
 surelog/2024-08-08 18:18 -  
 uhdm/2024-08-08 18:18 -  
 openvsp/2024-07-27 10:44 -  
 apio/2024-07-23 10:28 -  
 python-gdsii/2024-07-07 09:05 -  
 verilog-mode.el/2024-07-04 22:31 -  
 dinotrace/2024-07-04 22:31 -  
 librepcb/2024-07-04 12:39 -  
 astk-serveur/2024-06-30 14:06 -  
 qcad/2024-06-28 11:06 -  
 kicad-library-templates-devel/2024-06-23 20:04 -  
 gmsh/2024-06-23 13:58 -  
 feappv/2024-06-19 17:38 -  
 netgen/2024-06-15 17:38 -  
 ghdl/2024-05-25 15:09 -  
 horizon-eda/2024-05-20 11:33 -  
 py-gmsh/2024-05-12 09:06 -  
 qflow/2024-05-06 09:23 -  
 veroroute/2024-04-17 08:38 -  
 sweethome3d/2024-04-11 10:16 -  
 py-edalize/2024-04-05 10:15 -  
 openfpgaloader/2024-03-25 05:00 -  
 yosys-ghdl-plugin/2024-03-19 14:18 -  
 digital/2024-03-11 09:09 -  
 py-ezdxf/2024-03-09 19:10 -  
 py-vunit-hdl/2024-02-29 07:18 -  
 py-pyvcd/2024-02-29 07:18 -  
 qucs-s/2024-02-19 18:52 -  
 p5-GDS2/2024-01-15 17:06 -  
 pdnmesh/2024-01-01 11:49 -  
 calculix-ccx/2024-01-01 11:49 -  
 solvespace/2023-12-28 23:03 -  
 scotch/2023-12-10 10:23 -  
 freehdl/2023-11-21 20:11 -  
 py-pymtl/2023-11-04 03:09 -  
 xcircuit/2023-11-03 07:17 -  
 py-pyfda/2023-10-29 20:37 -  
 qcsxcad/2023-10-24 04:10 -  
 appcsxcad/2023-10-24 04:10 -  
 stepcode/2023-10-03 08:17 -  
 opentimer/2023-09-19 06:26 -  
 camotics/2023-09-11 04:22 -  
 ngspice_rework/2023-09-10 21:10 -  
 pcb-rnd/2023-09-07 04:27 -  
 librnd/2023-09-06 15:55 -  
 ktechlab/2023-08-15 22:17 -  
 lepton-eda/2023-07-30 23:59 -  
 geda/2023-07-30 23:59 -  
 librecad/2023-07-30 21:15 -  
 yosys-systemverilog/2023-07-29 07:39 -  
 openctm/2023-07-28 18:13 -  
 fritzing/2023-07-26 10:40 -  
 meshlab/2023-07-21 16:41 -  
 meshdev/2023-07-20 11:22 -  
 gds3d/2023-07-18 15:21 -  
 qspeakers/2023-07-14 14:21 -  
 cvc/2023-05-10 15:33 -  
 py-pygmsh/2023-04-25 16:17 -  
 kicad-library-templates/2023-04-25 16:17 -  
 kicad-library-symbols/2023-04-25 16:17 -  
 kicad-library-packages3d/2023-04-25 16:17 -  
 kicad-library-footprints/2023-04-25 16:17 -  
 kicad-doc/2023-04-25 16:17 -  
 silice/2023-04-23 10:09 -  
 py-phidl/2023-04-23 10:09 -  
 py-lcapy/2023-04-23 10:09 -  
 py-gdspy/2023-04-23 10:09 -  
 iverilog/2023-04-18 16:55 -  
 leocad/2023-03-21 03:32 -  
 libopencad/2023-03-13 00:02 -  
 gdscpp/2023-03-02 23:19 -  
 sp2sp/2023-03-02 05:23 -  
 repsnapper/2023-03-02 05:23 -  
 pcb/2023-03-02 05:23 -  
 k40-whisperer/2023-02-10 05:50 -  
 antimony/2023-01-16 21:07 -  
 klayout/2023-01-13 19:24 -  
 qelectrotech/2023-01-07 10:42 -  
 irsim/2022-12-27 20:05 -  
 admesh/2022-11-23 06:28 -  
 libgdsii/2022-11-20 06:22 -  
 libredwg/2022-10-27 12:31 -  
 abc/2022-10-09 20:02 -  
 ecpprog/2022-08-12 15:46 -  
 adms/2022-07-11 05:26 -  
 qucsator/2022-07-09 19:52 -  
 stm32flash/2022-04-20 05:43 -  
 ldraw/2022-02-11 17:11 -  
 padring/2021-12-30 06:15 -  
 p5-Verilog-Perl/2021-12-23 10:33 -  
 electric-ng/2021-05-19 20:56 -  
 archimedes/2020-12-10 05:25 -  
 logisim/2020-11-20 07:16 -  
 fidocadj/2020-09-01 13:54 -  
 atlc/2020-08-27 20:13 -  
 gspiceui/2020-05-17 06:55 -  
 cascade-compiler/2020-01-06 01:10 -  
 impact/2019-11-26 21:46 -  
 fasm/2019-10-27 07:56 -  
 gplcver/2019-06-19 11:16 -  
 gnucap/2018-10-27 03:23 -  
 qmls/2018-08-25 05:04 -  
 cascade/2017-05-29 12:32 -  
 gdt/2017-01-20 20:33 -  
 rubygem-gdsii/2016-05-16 18:37 -  
 gdsreader/2014-08-30 18:31 -  
 astk-client/2014-03-18 21:09 -  
 chipvault/2014-01-20 20:30 -